Chapter Five: The Review Process

Objective:

To describe to an ASIC program manager the nature and importance of independent assessments of an ASIC program.

In an ASIC program, reviews start early, during requirements identification and specifications definition, and continue on through device fabrication, test, and characterization phases. The responsibility for arranging and coordinating reviews falls to the ASIC program manager. Without the feedback these reviews provide, there is little hope of avoiding second pass silicon and delivering an ASIC within time and budget constraints.

Unlike off-the-shelf VLSI devices, ASICs call for the user and designer to participate heavily in the early reviews. For an off-the-shelf VLSI device, all requirement, specification, design and production reviews take place in-house at the vendor's facilities. Users rarely are invited to participate. The user mainly becomes involved in the "back-end of the line," i.e., during final assembly, electrical testing, environmental screening, reliability testing, and characterization--even then only in a high-reliability procurement.

In an ASIC program, however, users and designers participate and often coordinate those early reviews, which include:

In this chapter we will discuss the nature of these reviews, how to manage a review process, and major topics for review. We will also review areas that need special attention and deliverables.

Nature of Reviews

Review boards monitor the ASIC program through each of the nine major tasks: Setting requirements; ASIC Trade-offs; Vendor Selection; Partitioning; ASIC Implementation; Physical Layout; Manufacturing; Test and Characterization; and Part Acceptance. Each review evaluates the progress and future tasks of the ASIC program, provides constructive feedback to many aspects of the program, and identifies real and potential problems.

In Chapter 2 of this section, we discussed the need for communication between a number of disciplines during an ASIC program. Reviews provide the forum for constructive criticism of the ASIC program from a cross-disciplinary perspective. Representatives of each discipline bring their experience and viewpoint to design, tools limitations, test and testability issues, reliability, and packaging, etc. Each review develops communication networks that the ASIC team can tap into during the rest of program as well as for future ASIC designs. As an introduction to reviews, we will discuss:

THE REVIEW MEETING

The format of ASIC reviews resembles that of other technical program reviews. In a typical ASIC review, a chair person is selected. A group of experts and peers appropriate to the review subject hear design engineers, vendor engineers, ASIC program managers, and other ASIC team members present status and detailed information about the ASIC program. Discussions between the experts and the ASIC team follow each formal presentation allowing an opportunity to examine significant issues. These discussions often reveal the need for more information, in which case the group determines appropriate sources and calls in those individuals.

During the meeting, the official review board experts note major issues as "action items." The review board may resolve the action items later in the meeting or may invest additional time after the meeting is over. Typically, the review is not considered closed until all the action items are satisfactorily resolved.

MANAGING A REVIEW PROCESS

"Unlike off-the-shelf VLSI devices, ASICs call for the user and designer to participate heavily in the early reviews."

The review process includes selecting personnel, tracking requirements, documentation, and review activities. Each of these processes contributes to the overall success of the ASIC program and needs attention.

The ASIC program manager should be responsible for all review activities. In the case of vendor-sponsored reviews (some PDRs and CDRs), the ASIC program manager still needs to verify that all important tasks for the review have been done. In either case the review tasks include:

Selecting Review Personnel
Each major review may require different board members. Don't underestimate the importance of selecting appropriate board members. You will rely on their expertise to achieve a first pass working silicon. Depending upon the review task, select representatives from the following areas: We suggest limiting the number of individuals at any particular review to those with direct contributions--too many participants will make it difficult to complete the review. It is perfectly acceptable to call people into a review after it has begun or, if necessary, to hold an action item open until an individual outside the review has had a chance to analyze the information.

The essential people at any review are those who will be able to point out areas that still need work. For example, ASIC PDRs and CDRs involve heavy vendor interaction. Thus, at PDRs and CDRs, you should expect significant vendor attendance. However, when performing a specifications review, the board may only need vendor data for the feasibility of a specification implementation and, therefore, the vendor representatives need not attend.

When in doubt about the appropriate make-up of a review board, ASIC experts can provide advice about suitable board representatives. You need to identify people in your organization with the appropriate expertise and with the ability to constructively contribute in a review environment.

Review Documentation
Whatever the topic of review, write the agenda documents using clear language and a format that makes the topics easy to find. Send these documents to each board member ahead of the review, allowing them sufficient time to digest the information and provide suggestions in their areas of expertise. Please refer to Section One: Chapter 4: "Information Management" for discussions on documenting various aspects of an ASIC design.

Select or create a format for action items, which should include:

Review activities
Note: these activities apply to a review for an in-house design or a design done by a third party.

See Section One: Chapter 1 for more on the sources of requirements.

The QML and QPL programs cover some of the review process and related topics, particularly relating to the vendor process qualification, test procedures, and screening. We encourage managers to look at these documents from the review perspective.

As a general note, document the review materials, distribute them well ahead of the scheduled time of review, familiarize yourself with board members early on and make sure that the appropriate experts can attend the review.

Major Topics for Review, and Their Deliverables

"Major reviews" refers to the specification review, implementation review, PDR, chip sign-off, CDR, and flight build review. Other important reviews include: technology; vendor and tools selection review (described in Section One: Chapter 2); statistical process control and in-process monitor review; revalidation review; drop-in review; review of all tests performed per various MIL-STDs; and failure analysis review. The QML program document, MIL-I- 38535, describes these reviews in detail.

Below we discuss each of the major reviews and their deliverables in chronological order.

SPECIFICATIONS REVIEW

The specifications review takes place after the initial round of identifying requirements and generating specifications. The review must check the following items:

Again, make sure that representatives from each discipline covered in the specification offer their input.

The above review process should deliver well-defined, implementable, and verifiable specifications, as discussed in Chapters 3 and 4 of this section.

IMPLEMENTATION REVIEW

The ASIC design group usually conducts an implementation review. Representatives from other design groups whose specifications have direct influence on the ASIC design also offer critiques at this review, along with representatives from an ASIC center or a parts reliability group, who may critique DFT implementation, proper component (library) usage, etc. The implementation review process looks for an implementation plan that can deliver a design data base that can meet all the ASIC's specifications.

PRELIMINARY DESIGN REVIEW (PDR)

PDR usually takes place before the physical design starts. This milestone in the ASIC program draws representatives from a wide cross-section of disciplines including: the vendor, design groups, a CAE/CAT group, the ASIC center, and the product support groups.

The following points were extracted from an ASIC vendor's ASIC products design process manual, modified slightly to a more general form. These points assume that the ASIC design group or a third party design house will perform all the front-end design activities as described in Section One: Chapter 2 (design, schematic entry, logic and timing analysis, and test vector generation), and that the vendor performs place and route. We also assume that: the goal is first-pass silicon success; "silicon breadboarding" is not being done; silicon is not used to prove a design; and a design has been simulated until perfect.

For review purposes, a vendor may require view graphs and hard copies of all items listed below:

Vendor PDR Item List

MIL-STD-883, Method 5012 provides an excellent approach for the unambiguous reporting of fault coverage. Though somewhat complex, this document deserves the ASIC designer's time to thoroughly understand it. Many vendors will claim to be compatible with the test method covered by this military standard, when they are not. Nonetheless, even when vendors are not compatible with it, this test method is very useful, especially when used to calculate coverage for a device with mixtures of RAM/ROM and other compiled macrocells and conventional logic. For more on DFT, see Section Three: Chapter 3.

After completion of the PDR, when both the vendor and the customer have officially signed off the checklist, the vendor then should have all the necessary design data base ready and available as a deliverable for place and route. The ASIC designer and the ASIC manager should consider the ASIC design "perfect" at this stage, given the constraints of time and budget, and only need to double check the results of place and route before giving the go- ahead to produce the chip.

CHIP SIGN-OFF REVIEW

This formal sign-off review for an ASIC program resolves any outstanding issues from the PDR and allows place and route to begin. If the PDR has met all of its goals, this review may not be necessary. However, when working with a new vendor then this initial review may not suffice and the ASIC group will consider a chip sign-off review necessary. Some groups may call this chip sign-off review a "delta-PDR."

One vendor's example of this particular review requires the application engineer to sit down with an ASIC designer and go through a checklist to make sure that everything remaining from the PDR is accomplished. If the results of any of simulation or timing analysis reports do not satisfy the application engineer, then the designer may have to redo the items in doubt.

Some vendors pay a lot of attention to a detailed implementation if the design in question is a very asynchronous one. For example, some vendors, will run a dither program to point out any marginal paths in a design.

When the design is formally signed-off, it is ready for the physical layout process.

CRITICAL DESIGN REVIEW (CDR)

The CDR occurs after completing the physical design process to ensure that no violations of vendor design practice, design rules, etc., exist. If violations do exist, the designer and vendor must waive them jointly. An essential review, the CDR provides a go-ahead for production of the ASIC devices with great confidence in first-pass ASIC success.

Specifics of the CDR include:

FLIGHT BUILD REVIEW

The flight build review, also called a build-readiness review, provides a final check on all aspects of an ASIC before building the part as a flight-quality device. To determine the reliability of the part, the board considers issues remaining from any earlier reviews, along with data gathered from the evaluation and characterization of engineering parts. In general, information governing any aspect of the ASIC is germane at this review. Since PDRs and CDRs, government qualification status, and other earlier work could not possibly anticipate all potential problems when an ASIC is actually manufactured and subjected to testing in its target system, the flight build review is essential to producing reliable space flight parts.

Often all remaining issues concerning ASIC flight-readiness have not been resolved at the time of the flight build review. The review board must then judge the chance of all open issues being satisfactorily resolved and a successful flight-quality ASIC produced. The membership of this review board must have the authority, knowledge, and experience to pass on this kind of judgment.

This review offers the first opportunity for the board to peruse information from a detailed system evaluation. If a problem arises during ASIC system integration, then a choice would be made at this point whether to allow changes in the design to accommodate the problem. Since this review also offers the first opportunity to examine an actual device, the reviewers commonly announce whether or not an ASIC qualifies as a first pass success.

Working first-pass silicon means much more than a lucky designer; it indicates that the ASIC program carefully followed a complete methodology. Working first-pass silicon means ASIC program sponsors can expect a much more reliable over-all design than one achieves under the schedule pressure of a second or third pass try since changes made late in a program under pressure receive correspondingly less review. The flight build review must take this into account when considering the history of the ASIC device.

Summary


Now you may jump to: